Where Are All The North American Semiconductor Fabs Being Built (2024 Edition)?

The push for semiconductor manufacturing fabs in North America remains strong in 2024. In this article we look at where fabs are being built, who’s building them, and what advantages they offer to the electronics industry.

By:
Where Are All The North American Semiconductor Fabs Being Built (2024 Edition)?

As global demand for semiconductors continues to soar, companies are rethinking their manufacturing strategies—including by looking closer to home.

The push to build new semiconductor fabs in North America stems largely from risk mitigation. Taiwan Semiconductor Manufacturing Company (TSMC) produces over 60% of the world’s semiconductor chips and 90% of advanced chips, most of which are manufactured in Taiwan. This heavy concentration in one region has become a significant concern in the ongoing geopolitical tensions between the United States and China.

Semiconductors, especially advanced ones, are critical for computing applications in artificial intelligence, military use, telecommunications, healthcare, and other key sectors. They’re also increasingly in demand as products—from cars and smartphones to home thermostats and refrigerators—become smarter and more connected.

This level of geographic dependence has raised alarms for companies, who realize that relying so heavily on one region could be precarious. Whether due to political instability with China, a natural disaster like a typhoon or an earthquake, or even logistical disruptions, the potential for lost output puts many products at risk.

At the same time, Western governments are becoming increasingly aware of the strategic vulnerability this reliance creates, especially when it comes to securing cutting-edge technology for military and national security purposes.

To address these concerns, companies are now looking to diversify the locations of their semiconductor fabrication sites. This shift is being driven by a combination of private investment and government support.

The CHIPS & Science Act: A Big Boost to the U.S. Semiconductor Manufacturing Industry

Signed in 2022 by the Biden administration, the CHIPS and Science Act (officially known as the Creating Helpful Incentives to Produce Semiconductors and Science Act) marked a bold step toward bolstering America's semiconductor manufacturing industry. The legislation aims to reduce reliance on foreign suppliers and strengthen domestic production capabilities.

To achieve this, the law allocated some $53 billion for semiconductor manufacturing construction projects across the United States. This investment is designed to create a more resilient and self-sufficient supply chain for critical semiconductor technologies.

Since the act’s implementation, the U.S. Department of Commerce has already begun distributing funds, awarding over 10 grants to companies committed to building or expanding their semiconductor manufacturing facilities. Some of the key recipients include:

  • GlobalFoundries ($1.5 billion in grants and $1.6 billion in loans)
  • Intel ($8.5 billion in grants and $11 billion in loans)
  • TSMC ($6.6 billion in grants and $5 billion in loans)

An Overview of Semiconductor Fabrication Development in North America

Z2Data’s original research takes a look at how semiconductor manufacturing has progressed in the U.S. over the last two years. Highlights from the data include:

  • The number of new and expanded fabs currently being built
  • New semiconductor fabs broken down by state and foundry 
  • The  technology nodes and products being produced at each fab 

New Semiconductor Fabs by Region (2023 vs. 2024)

While North America’s appetite for semiconductor fabs hasn’t dulled, the cancellation of a planned fab in Indiana by SkyWater Technology did lead to one less fab being built in 2024. This brings the total number of new semiconductor fabs in the process of being built to 21. 

Semiconductor Fabrication Expansions by Region (2023 vs. 2024)

Unlike new fabs, expansions involve adding new facilities to an existing site rather than building an entirely new plant. Note: while the number of European fabs in production appears to have dropped, the drop can be attributed to Intel’s Fab 34 in Leixlip, Ireland, which went into production in the last half of 2023. This fab, owned by Intel, utilizes extreme ultraviolet lithography to produce Intel 4 technology. 

The increase in North America comes from an additional TSMC plant at their Fab 21 production site in Phoenix, Arizona, which will produce 2nm chips. 

North America Semiconductor Fabs by Owner

This graph displays semiconductor fabrication plants (both new and expansions) by owner, highlighting the companies driving the push for new sites in North America. Leading the pack is Samsung Foundry, with 12 planned fabs in Austin and Taylor, Texas. The Taylor fabs are set to be completed over the next two decades, with the first expected to be operational by 2026. According to Samsung, the Taylor facility will enhance the production of semiconductor solutions for next-generation technologies like 5G, artificial intelligence (AI), and high-performance computing.

Semiconductor Fabrication Sites by State

While TSMC’s Arizona fabs might receive the most media fanfare, America’s semiconductor manufacturing is spread across nine states. Texas will be home to the majority of these fabrication plants, with Arizona and New York competing for second place. 

Why Choose Arizona and Texas for Semiconductor Manufacturing?

As reported by Ars Technica, Arizona offers a range of benefits for semiconductor manufacturing initiatives, including:

  • 300 days of sunshine each year, offering ample opportunities for solar energy
  • Low seismic activity due to lack of fault lines in the area
  • Lack of other major natural disasters such as hurricanes and floods
  • Naturally isolated by its natural geographic features

The desert landscape also offers an abundance of land to build on with few natural obstacles (such as mountains or trees) to contend with. 

Texas provides its own  unique array of advantages for chip firms. The state, already a leader in semiconductor technology (and home to the first semiconductor ever built), passed its own CHIPS Act in 2023, which allocates $698 million for new semiconductors and $660 million for the creation of advanced research and development centers at The University of Texas at Austin and Texas A&M University. Passed nearly a year after Biden’s CHIPS and Science Act, the law aims to:

  • Leverage Texas’ investments in the semiconductor industry
  • Encourage semiconductor-related companies to expand in the state
  • Further and develop the expertise and capacity at Texas higher education institutions

The law also established the Texas Semiconductor Innovation Consortium (TSIC) and the Texas Semiconductor Innovation Fund (TSIF).  

What Types of Technology Will Be Produced At These Fabs?

While we’re not yet privy to the type of technology that will be produced at all of the planned semiconductor fabs, here’s what is known:

TSMC’s Arizona fabs will produce a range of advanced technology nodes: 2nm, 3nm, 4nm, and 5nm. These nodes will be used in logic chips, which are essential for artificial intelligence and machine learning technology.

Of the planned 26 semiconductor fabs, eight of them have announced what products they plan to produce. Micron Technology’s Boise and Clay fabs will produce DRAM, while Rogue Valley Microdevices’ Palm Bay Fab will produce MEMS and Sensors. 

Samsung’s Taylor fab 1, TSMC’s Fab 21 (1-3), and Texas Instruments’ Sherman fab will produce logic chips at various process node sizes. 

What’s the Timeline for Completion on These Fabs?

The two-dozen-plus fabs currently under construction in the U.S. have a wide range of completion dates that span from  2024 to 2042. The majority of fabs are scheduled to be complete and in production by 2030.

The Complete List of the Semiconductor Fabs in North America

Below is a comprehensive list of the current semiconductor fabs that have been announced or are in the process of being built. The fabs listed are either new fabs or expansions of existing fabrication sites. 

Arizona

Fab 52

Owner: Intel

Development Type: New

Location: Chandler, AZ

Completion Date: 2025 

Nodes: 7nm

Fab 62

Owner: Intel

Development Type: New

Location: Chandler, AZ

Completion Date: 2025

Nodes: 7nm

Fab 21-1

Owner: TSMC

Development Type: New

Location: Phoenix, Arizona

Completion Date: 2025

Nodes: 4nm & 5nm

Product: Logic Chips

Capacity: 20,000/month

Fab 21-2

Owner: TSMC

Development Type: Expansion

Location: Phoenix, Arizona

Completion Date: 2026

Nodes: 3nm

Product: Logic Chips

Fab 21-3

Owner: TSMC

Development Type: Expansion

Location: Arizona

Completion Date: 2028

Nodes: 2nm

Product: Logic Chips

Arizona has been a major hub for fabrication plants since at least 1980, when Intel opened a semiconductor fab in Chandler (about 25 miles southeast of Phoenix). Since then, the state has continued living up to its reputation as the so-called “semiconductor desert.” 

In September 2021, Intel broke ground on two more semiconductor fabs, dubbed Fab 52 and Fab 62, on its Ocotillo campus in the Chandler area (upon their completion, Intel will have six factories on the campus). These factories are slated to produce 7 nm semiconductors using the company’s 20A fabrication technology. 

Together, the two fabrication plants will cost around $20 billion, with a planned completion date sometime in 2024.

In addition to the new Intel fabs, the Taiwan Semiconductor Manufacturing Company (TSMC) has also established three fabs (one new and two expansions) on the outer edges of Phoenix. Announced in 2020, TSMC’s new semiconductor fabrication plant, Fab 21, is set to produce 4 and 5nm chips at a capacity of roughly 20,000 WSPM (wafer starts per month). This $40-billion facility will become only the third semiconductor fabrication plant TSMC has built outside of Taiwan (the other two are based in Washington and China). 

Although Fab 21 is set to officially start mass production in 2025, a September report found that they are already producing chips for Apple. This small-scale production of the Apple A16 Bionic application processors is part of the plant’s process to test its systems and ensure its equipment is in solid working order. 

Idaho 

Boise Fab

Owner: Micron

Development Type: New

Location: Boise, Idaho

Completion Date: 2026

Product: DRAM

In addition to its planned megasite in New York, Micron also announced that it would be breaking ground on a new semiconductor fabrication plant in Boise, Idaho. The fab, which is expected to cost approximately $15 billion and manufacture DRAM, will be the first new memory fab built in the US in over two decades. With construction scheduled to start in 2023, the facility is expected to be completed sometime in 2025. Upon completion, Micron’s new Boise fab will house the single largest cleanroom ever built in the US. 

Ohio 

Ohio Fabs (1-2)

Owner: Intel

Development Type: New

Location: Columbus, OH

Completion Date: 2026

Nodes: 10nm

In addition to its new plants in Arizona, Intel has also begun construction on two semiconductor fabs just outside of Columbus, Ohio. The factories, which are estimated to cost around $20 billion, will produce 10 nm chips on 12 inch wafers. The plants are expected to be completed in 2025 and represent just the first phase of Intel’s multi-year plan to establish a new megasite that will house up to eight semiconductor fabrication plants in Licking County. (The total cost for the entire site is estimated to be in the neighborhood of $100 billion.)

Oregon

Gresham Fab

Owner: Microchip Technology, Inc.

Development Type: New

Location: Gresham, Oregon

Completion Date: 2025

The Biden administration is providing $162 million to Microchip Technology to boost domestic chip production, as part of the 2022 law aimed at revitalizing U.S. semiconductor manufacturing. This includes $72 million for a factory in Gresham, Oregon, an injection that will help  the company triple its U.S. output. Much of the funding will support microcontroller production used in military, automotive, and medical applications, potentially creating 700 jobs over the next decade.

Texas

Taylor Fab (1-10)

Owner: Samsung

Development Type: New

Location: Taylor, TX

Completion Date: 2023-2042

Nodes: 4nm & 5nm

Product: Logic Chips

Sherman Fab

Owner: Texas Instruments

Development Type: Expansion

Location: Sherman, TX

Completion Date: 2025

Nodes: 28nm

Product: Logic Chips

Samsung Foundry, Samsung’s semiconductor manufacturing division, stands behind only TSMC as the world’s largest contract maker of chips. The South Korean-based company has had a presence in Texas since the late 1990s, when it built its first US-based semiconductor fabrication plant in Austin (now known as the S2 foundry). 

In 2021, Samsung announced that it would be breaking ground on a new semiconductor fabrication plant in Taylor, Texas—roughly 16 miles from its S2 foundry—and funneling around $17 billion into construction, equipment, machinery, and improvements to the space. (Samsung declared it to be the single largest investment the company has ever made on US soil.)  The new fab is set to produce 5 nm nodes for high performance computing (HPC), artificial intelligence, and 5G, among other spaces in the technology sector, with a target operational date set for sometime in the second half of 2024. 

Samsung’s new fab in Texas is only the first in an ambitious two-decade plan to build 10 total semiconductor fabs on a single site in the Taylor area, with a total price tag north of $170 billion. The final fabrication plant is slated to be completed sometime in 2042. 

In addition to Samsung Foundry’s new plants, Texas Instruments last year began construction on a large semiconductor fabrication facility near Sherman, in North Texas. The project, which was launched in 2022 and is expected to be completed by 2025, is estimated to cost around $30 billion and is being pegged as the largest economic venture in the Lone Star State’s history. The plant will produce 28 nm logic chips on 12-inch wafers. As with many of the facilities outlined here, the new Texas Instruments plant is benefitting from substantial local incentives

New York 

Clay Fab

Owner: Micron Technology

Development Type: New

Location: Clay, NY

Completion Date: 2029

Product: DRAM

Fab 8.2

Owner: GlobalFoundries

Location: Malta, NY

Completion Date: 2025

Massey Fab

Owner: Wolfspeed

Development Type: New

Location: Marcy, NY

Completion Date: 2024 

Ithaca Fab

Owner: Menlo Micro

Development Type: New

Location: Ithaca, NY

Completion Date: 2024

While perhaps not as universally heralded as industry juggernauts like Intel and TSMC, Micron Technology is one of the world’s leading producers of computer memory and data storage, including dynamic random-access memory (DRAM) and flash memory. The American company, which is headquartered in Boise, Idaho, announced last year that it would be breaking ground on what it called the “largest semiconductor facility in the history of the United States” in Clay, New York. Construction on the megafab, which is being referred to as the Clay Fab, is scheduled to begin in 2024, with an operational date slated for sometime in the “latter half of the decade,” according to Micron’s press release. The facility will produce DRAM. 

Florida 

Palm Bay Fab

Owner: Rogue Valley Microdevices, Inc.

Development Type: New

Location: Palm Bay, FL

Completion Date: 2025

Product: MEMS & Sensors

Production Capacity: 21,000

Not traditionally considered a hotbed for semiconductor manufacturing, Florida’s Space Coast will be the site for Rogue Valley Microdevices’ second fab. The Medford, Oregon-based company purchased a large commercial building in Palm Bay earlier this year, and plans to convert it into a facility equipped to produce microelectromechanical systems (MEMS) and sensor devices. 

Utah

Lehi Fab

Owner: Texas Instruments, Inc.

Development Type: Expansion

Location: Lehi, Utah

Completion Date: 2026

Texas Instruments (TI) is building a new semiconductor wafer fabrication plant in Lehi, Utah, set to start production by 2026, which will connect to its existing facility there. Once fully operational, the two Utah fabs will produce tens of millions of analog and embedded processing chips daily, supporting TI's long-term plan to meet customer growth. In addition to manufacturing, TI is creating jobs and investing in local STEM education, while making sure the new fab is one of its most environmentally efficient facilities.

Want a Report Like This Tailored to Your Interests?

Want reports like this on components, suppliers, industries, or more? Sign up for a free trial of Z2Data and get a personalized demo showing you how to get the data you need. Whether you’re tracking U.S. semiconductor trends or other developments across the globe, our platform gives you access to data you need to stay ahead of market changes.

The Z2Data Solution

Z2Data’s integrated platform is a holistic data-driven supply chain risk management solution, bringing data intelligence for your engineering, sourcing, supply chain and compliance management, ESG strategist, and business leadership. Enabling intelligent business decisions so you can make rapid strategic decisions to manage and mitigate supply chain risk in a volatile global marketplace and build resiliency and sustainability into your operational DNA.

Our proprietary technology augmented with human and artificial Intelligence (Ai) fuels essential data, impactful analytics, and market insight in a flexible platform with built-in collaboration tools that integrates into your workflow.  

Get started with a free trial!

Start Free Trial!